[Flexiblesusy-commits] [FlexibleSUSY/FlexibleSUSY] 05d8e1: automatically set FlexibleEFTHiggs[13] to matching...

GitHub noreply at github.com
Wed Oct 5 16:37:36 BST 2016


  Branch: refs/heads/development
  Home:   https://github.com/FlexibleSUSY/FlexibleSUSY
  Commit: 05d8e116361acd88b8847074a5a38a2e0df4c567
      https://github.com/FlexibleSUSY/FlexibleSUSY/commit/05d8e116361acd88b8847074a5a38a2e0df4c567
  Author: Alexander Voigt <Alexander.Voigt at desy.de>
  Date:   2016-10-05 (Wed, 05 Oct 2016)

  Changed paths:
    M templates/standard_model_matching.cpp.in

  Log Message:
  -----------
  automatically set FlexibleEFTHiggs[13] to matching condition loop order

Before this commit, the user had to set FlexibleEFTHiggs[13] = 0 and
FlexibleEFTHiggs[20] = 1 when yt(BSM) should be calculated using 1L
QCD corrections.  Analogous, the user had to set FlexibleEFTHiggs[13]
= 1 and FlexibleEFTHiggs[20] = 2 when yt(BSM) should be calculated
using 2L QCD corrections.  Now, FlexibleEFTHiggs[13] is set
automatically to FlexibleEFTHiggs[20] - 1 when yt(BSM) is calculated
in FlexibleEFTHiggs.


  Commit: e381821eb6b545393518a785b20430d6819db214
      https://github.com/FlexibleSUSY/FlexibleSUSY/commit/e381821eb6b545393518a785b20430d6819db214
  Author: Alexander Voigt <Alexander.Voigt at desy.de>
  Date:   2016-10-05 (Wed, 05 Oct 2016)

  Changed paths:
    M ChangeLog

  Log Message:
  -----------
  update ChangeLog


Compare: https://github.com/FlexibleSUSY/FlexibleSUSY/compare/0adeb320820c...e381821eb6b5


More information about the Flexiblesusy-commits mailing list