[Flexiblesusy-commits] [FlexibleSUSY/FlexibleSUSY] 3264ab: update ChangeLog

GitHub noreply at github.com
Wed Feb 11 13:48:45 GMT 2015


  Branch: refs/heads/development
  Home:   https://github.com/FlexibleSUSY/FlexibleSUSY
  Commit: 3264abefa5d7c757aae6020defb0af6942876c77
      https://github.com/FlexibleSUSY/FlexibleSUSY/commit/3264abefa5d7c757aae6020defb0af6942876c77
  Author: Alexander Voigt <Alexander.Voigt at desy.de>
  Date:   2015-02-11 (Wed, 11 Feb 2015)

  Changed paths:
    M ChangeLog

  Log Message:
  -----------
  update ChangeLog

adding note about the 2-loop threshold corrections in the calculation
of the muon decay.




More information about the Flexiblesusy-commits mailing list